Verilog HDL与FPGA数字系统设计
作者 : 罗杰
出版日期 : 2015-04-20
ISBN : 978-7-111-48951-1
适用人群 : 计划编写的书是为大学本科第3学期(二年级)学生编写
定价 : 69.00元
教辅资源下载
扩展信息
语种 : 简体中文
页数 : 398
开本 : 16
原书名 :
原出版社:
属性分类: 教材
包含CD :
绝版 :
图书简介

本书是根据电子技术的发展和我国高等教育发展的新形势,以及作者多年教学与实践经验的基础上而编写的。内容覆盖了数字逻辑设计基础、Verilog HDL基础知识与建模方法、有限状态机设计、可编程逻辑器件及其开发工具、数字电路与系统设计实例、数字电路动态仿真与静态时序分析等相关知识。
本书将数字逻辑设计和Verilog HDL有机地结合在一起,方便读者快速地掌握数字逻辑的基础知识和Verilog HDL建模方法,熟悉用EDA方法设计数字系统的技巧。本书不仅注重基础知识的介绍,而且力求向读者系统地讲解Verilog HDL在数字系统设计方面的实际应用。

图书特色

华中科技大学全国大学生电子设计竞赛培训指定教材。
将数字电路和Verilog HDL相互结合,用FPGA实现电路,实践性更强。
配合在大学中广泛使用的FPGA平台,课程资源和实例丰富,可操作性极强。

封底
Verilog HDL和FPGA开发入门必读
本书将数字电路原理和Verilog HDL有机地结合在一起,方便读者快速地掌握数字逻辑的基础知识和Verilog HDL建模方法,掌握用EDA软件设计数字系统的技巧。本书不仅注重基础知识的介绍,而且力求向读者系统地讲解Verilog HDL在数字系统设计方面的实际应用,是作者多年教学实践经验的结晶。
本书适合作为电子、电气、计算机和通信专业本科数字电路课程教材,也可以作为电子技术课程设计、电子设计大赛、数字系统设计的技术参考书。对于想要基于FPGA从事逻辑电路设计相关工作的人,本书也是个绝佳的入门教材。
本书立足数字电路理论基础,并且注重数字逻辑设计实践。
采用QuartusⅡ9.1软件平台,所有程序都通过了Altera DE2开发板的硬件测试。
本书实例丰富,为读者学习Verilog HDL和FPGA开发提供了大量丰富的模板。
本书配套教辅资料齐全,便于老师开设新课程。


随着半导体制造工艺迈向更深的工艺节点,今天的数字电路已经非常复杂,集成电路行业已经普遍采用新的方法和EDA软件来设计电路。Verilog HDL作为集成电路这一行业的主要的设计语言,拥有极其广泛的工程师基础。为了将产业和教学有效对接,将数字电路设计和Veirlog HDL有机结合,按照“数字逻辑设计基础、Verilog HDL建模技术、可编程逻辑器件的结构原理、EDA设计工具软件、15
数字电路系统设计实践”的思路进行教学是必由之路。本书正是采用了这样的思路编写,让没有数字电路基础的读者也能够通过学习本书中的内容,有效掌握数字电路的基本原理,并能够基于FPGA,采用Verilog HDL实现逻辑电路,从而达到知行合一的目的。
罗 杰 华中科技大学电子信息与通信学院副教授,国家精品课程“电子线路设计与测试”课程组负责人之一,全国大学生电子设计竞赛湖北赛区专家组专家,华中地区高等学校EDA/SOPC研究会理事。主要从事电子技术和EDA技术的教学与科研工作,讲授课程包括:模拟电子技术基础、数字电路与逻辑设计、数字ASIC设计、微机原理与接口技术、电子线路设计与测试等。研究方向主要涉及现代EDA技术,嵌入式系统开发与应用,弱信号检测与处理技术等方向。此外,作者还出版了多本获奖教材,在高校师生中深受欢迎。

图书前言

随着数字技术的高速发展,人们已经不再采用各种功能固定的通用中、小规模集成电路和电路图输入方法设计数字系统,而是广泛地采用硬件描述语言对数字电路的行为进行建模,并使用电子设计自动化(Electronic Design Automation,EDA)软件自动地对所设计的电路进行优化和仿真,然后使用逻辑综合工具将设计转化成物理实现的网表文件,最后用可编程逻辑器件或者专用集成电路 (Application Specific Integrated Circuit,ASIC)完成数字系统。因此,掌握硬件描述语言、EDA技术和可编程逻辑器件已成为当今数字系统设计者的重要任务。
目前,符合IEEE标准的硬件描述语言(Hardware Description Language,HDL)有VHDL和Verilog HDL。两者的应用广泛,都能够通过程序描述电路的功能,从而进行数字电路的设计。由于Verilog HDL在ASIC设计领域占有重要的地位,并且它是在C语言的基础上发展起来的,语法较自由,易学易用,因此本书选取Verilog HDL进行电路设计。同时,本书还介绍了ModelSim软件和Quartus II软件的使用方法,读者可以使用它们进行仿真和综合Verilog HDL代码。
本书是作者根据多年的教学科研经验以及指导学生参加全国电子设计竞赛经验编写而成的。在内容上,将数字逻辑设计和Verilog HDL有机结合在一起,方便读者快速进入现代数字逻辑设计领域。按照“数字逻辑设计基础、Verilog HDL建模技术、可编程逻辑器件的结构原理、EDA设计工具软件、数字电路系统设计实践”的体系结构编写。为了让大家更容易掌握Verilog HDL知识,本书在介绍数字电路设计的过程中列举了Verilog HDL的很多例程,并假定读者没有任何数字逻辑基础知识。
  全书共11章。首先介绍了数字逻辑运算、逻辑门、组合电路设计等基础知识,接着重点介绍了Verilog HDL基础知识与建模方法,对状态机的建模方法进行了深入讨论;然后讨论各种可编程逻辑器件的组成、结构特点和开发流程,以及Quartus II软件的使用方法和静态时序分析方法;最后通过大量的例程介绍Verilog HDL在数字系统设计方面的应用,有助于读者理解书中的基本概念并掌握从简单电路到复杂模块的设计技术。
本书力求做到通俗易懂,适教适学。为方便读者学习,每章开头均有“本章目的”,介绍该章将要学习的主要内容,每章后面均安排有小结,部分章节后面配有习题。理论学习要和上机实验相结合,从第7章开始通过精选的例程进行引导,读者可以按照这些例程进行实际操作,将HDL代码“写入”FPGA芯片,对设计的电路进行实际测试,以方便读者掌握FPGA开发的整个流程。
  参加本书编写工作的有华中科技大学的罗杰(第1、2、3、4、5章)、张大卫(第6、7章、附录C)、谭力(第8、10章)、王贞炎(第9章)和湖北大学的刘文超(第11章、附录A、B)等,罗杰担任主编,负责全书的策划、组织整理和定稿工作。
  本书在编写过程中,得到了华中科技大学电工电子科技创新基地的大力支持;得到了华中科技大学“教学改革工程”教材建设基金资助;还得到康华光教授的热情支持和鼓励,在此表示衷心的感谢。
   由于作者知识水平有限,书中难免有疏漏、不妥或错误之处,敬请各位专家、同行和读者批评指正。您可以通过Luojiewh@gmailcom给作者发送邮件,我们会阅读所有来信,并尽可能尽快回复。
编者
2014年11月

上架指导

电子与电气工程

封底文字

随着数字技术的高速发展,掌握硬件描述语言、EDA技术和可编程逻辑器件已成为当今数字系统设计者的重要任务。目前,符合IEEE标准的硬件描述语言有VHDL和Verilog HDL。两者的应用都很广泛,都能够通过程序描述电路的功能,从而进行数字电路的设计。由于Verilog HDL在ASIC设计领域占有重要的地位,并且它是在C语言的基础上发展起来的,语法较自由,易学易用,因此本书选取Verilog HDL进行电路设计。同时,还介绍了ModelSim软件和Quartus II软件的使用方法,读者可以使用它们仿真和综合Verilog HDL代码。


理论与应用并重。本书不仅注重基础知识的介绍,而且力求向读者系统地讲解Verilog HDL在数字系统设计方面的实际应用。
完整和清晰的知识体系。本书内容覆盖数字逻辑设计基础、Verilog HDL基础知识与建模方法、有限状态机设计、可编程逻辑器件及其开发工具、数字电路与系统设计实例、数字电路动态仿真与静态时序分析等相关知识,全面具体。
通俗易懂适教学。为方便教与学,本书各章均配有“本章目的”、“小结”和“习题”,分别介绍与回顾各章主要内容,并使读者可及时检测知识掌握程度。

作者简介

罗杰:暂无

图书目录

前言
教学建议
第一篇数字系统基础
第1章数字逻辑设计基础/
11数字电路的发展历史及分类/
12逻辑运算及逻辑门/
121基本逻辑运算及对应的逻辑门/
122常用复合逻辑运算及对应的逻辑门/
123集成逻辑门电路简介/
124三态门/
13逻辑代数的基本公式和规则/
131逻辑代数的基本公式/
132逻辑代数的基本规则/
133基本公式的应用/
14逻辑函数的代数化简法/
15逻辑函数的卡诺图化简法/
151最小项的定义和性质/
152逻辑函数的最小项表达式/
153用卡诺图表示逻辑函数/
154用卡诺图化简逻辑函数/
155用卡诺图化简含无关项的逻辑函数/
16组合逻辑电路设计/
161组合逻辑电路设计的一般步骤/
162组合逻辑电路设计举例/
小结/
习题/
第2章Verilog HDL入门与功能仿真/
21硬件描述语言简介/
211硬件描述语言的起源/
212硬件描述语言的特点/
22Verilog HDL程序的基本结构/
221Verilog HDL程序的基本概述/
222简单Verilog HDL程序实例/
23逻辑功能的仿真验证过程/
231激励块/
232仿真过程简介/
24ModelSim仿真软件的使用/
241创建工作目录/
242输入源文件/
243建立工作库/
244编译设计文件/
245将设计文件载入仿真器/
246运行仿真器/
25Verilog HDL功能仿真常用命令/
251系统任务/
252编译器指令/
小结/
习题/
第3章Verilog HDL基础语法与组合逻辑电路建模/
31Verilog HDL基本语法规则/
311词法规定/
312逻辑值集合/
313常量及其表示/
314数据类型/
32Verilog HDL门级建模/
321多输入门/
322多输出门/
323三态门/
324门级建模举例/
33Verilog HDL数据流建模与运算符/
331数据流建模/
332表达式与操作数/
333运算符/
334运算符的优先级别/
34组合电路的行为级建模/
35分层次的电路设计方法/
351设计方法/
352模块实例引用语句/
36常用组合电路及其设计/
361编码器/
362二进制译码器/
363七段显示译码器/
364二进制数与8421 BCD码的转换/
小结/
习题/
第4章时序逻辑电路建模/
41锁存器/
411基本SR锁存器/
412门控D锁存器/
413门控D锁存器的VerilogHDL建模/
42时序电路建模基础/
421阻塞型赋值语句和非阻塞型赋值语句/
422事件控制语句/
43触发器/
431D触发器的逻辑功能/
432有清零输入和预置输入的D触发器/
433有使能端的D触发器/
434D触发器及其应用电路的Verilog HDL建模/
44寄存器和移位寄存器/
441寄存器及Verilog HDL建模/
442移位寄存器及Verilog HDL建模/
443移位寄存器的应用电路/
45同步计数器/
451同步计数器的设计/
452同步计数器的Verilog HDL建模/
46Verilog HDL函数与任务的使用/
461函数说明语句/
462任务说明语句/
47m序列码产生电路设计/
小结/
习题/
第5章有限状态机设计/
51状态机的基本概念/
511状态机的基本结构及类型/
512状态机的状态图表示法/
513状态机的设计步骤/
52基于Verilog HDL的状态机描述方法/
521状态图的建立过程/
522状态图的描述方法/
53状态机设计中的关键技术/
531状态编码/
532消除输出端产生的毛刺/
533使用OneHot编码方案设计状态机/
54状态机设计举例/
541汽车尾灯控制电路设计/
542十字路口交通灯控制
电路设计/
小结/
习题/
第6章可编程逻辑器件/
61概述/
611PLD的历史/
612PLD开发流程简介/
613PLD器件的符号/
62简单可编程逻辑器件/
621PLA/
622PAL/
63复杂可编程逻辑器件/
631CPLD的基本结构/
632逻辑块/
633I/O块/
634可编程内部互连线资源/
64现场可编程门阵列/
641FPGA实现逻辑函数的基本原理/
642FPGA的一般结构/
643基于LUT的逻辑块/
644可编程布线资源/
645I/O块/
小结/
习题/
第二篇数字系统设计实践
第7章FPGA开发工具的使用/
71Quartus II软件介绍/
711Quartus II 91软件主界面/
712Quartus II的设计流程/
713USBBlaster 驱动安装/
72基于原理图的电路仿真/
721建立新的设计项目/
722输入电路原理图/
723编译设计项目/
724仿真验证设计项目/
725分析信号的延迟特性/
726实验任务/
73基于Verilog HDL的电路设计与实现/
731半加器的设计与Verilog HDL建模举例/
732输入设计文件/
733建立新的设计项目/
734编译设计文件/
735仿真验证设计项目/
736分配引脚/
737对目标器件编程与硬件电路测试/
738使用电路网表观察器查看电路图/
739实验任务/
74基于原理图和Verilog HDL的层次化设计/
741编码、译码、显示电路/
742建立新的设计项目/
743输入HDL底层文件并完善原理图/
744分配引脚并编译设计/
745仿真验证设计项目/
746对目标器件编程与硬件电路测试/
747实验任务/
75嵌入式逻辑分析仪SignalTap II的使用/
751SignalTap II的实现原理与使用流程/
752SignalTap II的基本使用方法/
753实验任务/
76宏功能模块的调用/
761计数器模块LPM_COUNTER的配置与调用/
762嵌入式锁相环模块ALTPLL的配置与调用/
763先进先出模块FIFO的配置与调用/
764存储器模块LPM_ROM的配置与调用/
765实验任务/
77在Quartus II中调用ModelSim进行仿真/
771乘法器模块LPM_MULT的配置与调用/
772仿真流程/
773实验任务/
小结/
第8章数字电路与系统的设计实践/
81变模计数器设计/
811功能要求/
812设计分析/
813逻辑设计/
814设计实现/
815实验任务/
82移动显示字符的设计/
821功能要求/
822设计分析/
823逻辑设计/
824设计实现/
825实验任务/
83分频器设计/
831功能要求/
832设计分析/
833逻辑设计/
834设计仿真/
835实际运行结果/
836实验任务/
84篮球竞赛30秒定时器设计/
841功能要求/
842设计分析/
843逻辑设计/
844设计实现/
845实验任务/
85多功能数字钟设计/
851功能要求/
852设计分析/
853数字钟主体电路逻辑设计/
854功能扩展电路逻辑设计/
855顶层电路设计/
856实验任务/
86频率计设计/
861功能要求/
862设计分析/
863逻辑设计/
864顶层电路设计/
865实验任务/
87DDS函数信号发生器的设计/
871功能要求/
872DDS产生波形的原理/
873设计分析/
874顶层电路设计/
875设计实现/
876D/A转换电路及放大电路设计/
877实验任务/
88有限状态机实验/
881功能要求/
882设计分析/
883逻辑设计/
884设计实现/
885实验任务/
小结/
第9章静态时序分析工具TimeQuest的使用/
91静态时序分析基础/
911同步路径的分析/
912异步路径的分析/
913外部同步路径的分析/
914不同的时序模型/
92TimeQuest时序分析器的使用/
921TimeQuest的使用流程/
922两级流水线乘法器设计/
923设定时序要求/
924全编译并完成布局布线/
925验证时序/
小结/
第10章异步串口通信及UART实现/
101UART接口实现原理/
1011串行通信的概念/
1012基本的UART通信协议/
102UART接口模块的层次化设计/
1021UART接口的功能模块划分/
1022配置文件/
1023顶层模块的功能描述/
1024接收模块的功能描述/
1025发送模块的功能描述/
1026波特率变换模块的功能描述/
1027微处理器接口模块的功能描述/
103对UART接口模块的功能仿真/
1031对接收模块的功能仿真/
1032对发送模块的功能仿真/
1033对波特率变换模块的功能仿真/
1034对微处理器接口模块的功能仿真/
1035对UART接口模块的功能仿真/
104逻辑综合与时序仿真/
105下载与验证测试/
1051验证系统概述/
1052验证结果/
小结/
第11章VGA接口控制器的设计/
111VGA接口标准和接口电路/
1111VGA接口标准/
1112VGA接口电路/
112VGA彩条信号发生器/
1121功能要求/
1122设计分析/
1123逻辑设计/
1124顶层电路设计/
1125对目标器件编程与硬件电路测试/
1126使用Signal Tap II观察VGA工作时序/
1127实验任务/
11324位位图显示/
1131功能要求/
1132设计分析/
1133逻辑设计/
1134顶层电路设计/
1135对目标器件编程与硬件电路测试/
1136实验任务/
小结/
附录AVerilog HDL关键字/
附录B常用FPGA开发板介绍/
附录CCyclone II系列器件结构/
参考文献/

教学资源推荐
作者: (美)吉恩 F.富兰克林(Gene F. Franklin) J.大卫·鲍威尔(J. David Powell)阿巴斯·埃马米-纳尼(Abbas Emami-Naeini)著
作者: (美)Thomas L. Floyd 著
作者: James V. Valentino;Joseph Goldenberg
作者: 张雪英 刘建霞 编著
参考读物推荐
作者: [日]南 裕树(Yuki Minami) 著
作者: 阙志达 蔡佩芸 赖以威 著